New advanced library format standard approved - Design & Test of Computers, IEEE

نویسنده

  • Wolfgang Roethig
چکیده

THE IEEE has recently approved the IEEE Std. 1603-2003 Advanced Library Format (ALF) standard, which specifies a modeling language for IC technology, cells, and block. ALF describes behavior, timing, power, signal integrity, physical abstraction, and the physical implementation rules of library elements (http://www.eda.org/alf). EDA applications can use ALF as a technology library description for celland block-based design. Traditionally, technology library descriptions are an afterthought for EDA application tools. Application-specific library formats abound; they supply just the data needed for a particular EDA tool. You cannot dissociate the data’s meaning from the tool. ALF reverses this principle, recognizing that silicon technology is the foundation for EDA tools and not the other way around. The idea is that EDA tools should recognize and comprehend the technology data described in ALF, just as a designer would use a datasheet or a data book of library elements to realize a design. In other words, the technology objects or library elements exist prior to and independently of a particular EDA tool. The Open Verilog International consortium initiated and supported the ALF project. OVI is the precursor of Accellera, which is today the most significant feeder organization for IEEE standards in EDA. These organizations gave the industry Verilog (IEEE 1364) and VHDL (IEEE 1076), as well as three design data formats: the Standard Delay Format (SDF) specified in IEEE 1497; and the Physical Design Exchange Format (PDEF) and the Standard Parasitic Exchange Format (SPEF), specified in IEEE 1481. As a starting point, ALF had to remain compatible with and similar to common industry practice in library modeling. At the same time, ALF had to be more comprehensive and forward looking than existing tool-specific library descriptions. As a format, ALF had to be simple and straightforward to facilitate its adoption by the user community. As a language, ALF had to offer semantics and description capabilities that allowed the construction of meaningful modeling data that are selfdescriptive and general enough for existing and new EDA applications.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Validating IEEE 802.16e Designs With The Mobile WiMAX MIMO Library

The IEEE 802.16e standard, often referred to as mobile WiMAX, specifies air interfaces for broadband wireless access (BWA) systems. The standard is expected to energize the BWA industry and open many opportunities to deploy systems in applications that were previously cost-prohibitive. Mobile WiMAX uses roaming and handoff to enable laptop and mobile phones to operate. High throughput is partic...

متن کامل

Cell Library Creation using ALF

The design of Integrated Circuit ASICs and SoCs typically relies on the availability of a library consisting of predefined components called technology cells. Silicon vendors use proprietary formats to describe technology cells and macro modules in conjunction with numerous translators to feed technology library data to Electronic Design Automation EDA tools. Multiple grammar formats are used t...

متن کامل

Effective Built-In Self-Test for Booth Multipliers

0740-7475/98/$10.00 © 1998 IEEE 105 MODULE GENERATORS PROVIDED by library vendors supply chip designers with optimized Booth multipliers, which are widely used as embedded cores in both generalpurpose data path structures and specialized digital signal processors. Designers frequently use Booth multipliers in areaand speedcritical parts of complex ICs. Compared to standard array multipliers, Bo...

متن کامل

Interface Design for Core-Based Systems

tems on chips (SOCs) are outpacing the capabilities of design tools and methodologies, resulting in long, expensive design and verification cycles. One way to reduce this complexity is to rapidly compose these systems with predesigned, pretested functional cores available in VHDL libraries.1 Thus, SOCs consist of a few cores that represent complex functions such as filters, sorters, and other p...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2001